نمایش تاپیک ها 1 تا 16 از 16

تالار: FPGA

سوالات و مقالات خود در زمینه میکروکنترلرهای خانواده FPGA، نحوه اتصال وسایل جانبی به آنها، و نحوه طراحی مدارات با استفاده از آنها را در این بخش مطرح کنید.

» تاپیک های عادی
  1. فلیپ فلاپ d همرا با تست

    ایجاد شده توسط stahad1‏ در تاریخ یک شنبه 16 مهر 1396 ، ساعت 20:02 عصر
    • پاسخ: 0
    • مشاهده ها: 12,419
    یک شنبه 16 مهر 1396, 20:02 عصر به آخرین پست برو
  2. کد تمام جمع کننده

    ایجاد شده توسط stahad1‏ در تاریخ یک شنبه 16 مهر 1396 ، ساعت 19:59 عصر
    • پاسخ: 1
    • مشاهده ها: 529
    یک شنبه 16 مهر 1396, 20:01 عصر به آخرین پست برو
  3. کد مقایسه کننده ۱۰ بیتی

    ایجاد شده توسط stahad1‏ در تاریخ یک شنبه 16 مهر 1396 ، ساعت 19:56 عصر
    • پاسخ: 0
    • مشاهده ها: 457
    یک شنبه 16 مهر 1396, 19:56 عصر به آخرین پست برو
  4. کد تقسیم فرکانس

    ایجاد شده توسط stahad1‏ در تاریخ یک شنبه 16 مهر 1396 ، ساعت 19:54 عصر
    • پاسخ: 0
    • مشاهده ها: 436
    یک شنبه 16 مهر 1396, 19:54 عصر به آخرین پست برو
  5. کد streamdecoder

    ایجاد شده توسط stahad1‏ در تاریخ یک شنبه 16 مهر 1396 ، ساعت 19:54 عصر
    • پاسخ: 0
    • مشاهده ها: 445
    یک شنبه 16 مهر 1396, 19:54 عصر به آخرین پست برو
  6. برنامه چراغ راهنمای و رانندگی

    ایجاد شده توسط stahad1‏ در تاریخ یک شنبه 16 مهر 1396 ، ساعت 19:51 عصر
    • پاسخ: 1
    • مشاهده ها: 508
    یک شنبه 16 مهر 1396, 19:52 عصر به آخرین پست برو
  7. سنتز

    ایجاد شده توسط stahad1‏ در تاریخ شنبه 27 آذر 1395 ، ساعت 13:33 عصر
    • پاسخ: 0
    • مشاهده ها: 1,946
    شنبه 27 آذر 1395, 13:33 عصر به آخرین پست برو
  8. وریلاگ

    ایجاد شده توسط stahad1‏ در تاریخ شنبه 27 آذر 1395 ، ساعت 13:29 عصر
    • پاسخ: 0
    • مشاهده ها: 844
    شنبه 27 آذر 1395, 13:29 عصر به آخرین پست برو
  9. vhdl

    ایجاد شده توسط علیرضا9214‏ در تاریخ یک شنبه 25 مهر 1395 ، ساعت 22:34 عصر
    • پاسخ: 0
    • مشاهده ها: 1,471
    یک شنبه 25 مهر 1395, 22:34 عصر به آخرین پست برو
  10. Question vhdl

    ایجاد شده توسط roshanaei.1367‏ در تاریخ شنبه 06 شهریور 1395 ، ساعت 00:04 صبح
    • پاسخ: 0
    • مشاهده ها: 1,297
    شنبه 06 شهریور 1395, 00:04 صبح به آخرین پست برو
  11. طراحی و پیاده سازی یک شبکه بر روی noc

    ایجاد شده توسط mosisms‏ در تاریخ دوشنبه 07 تیر 1395 ، ساعت 12:50 عصر
    network, router, verilog
    • پاسخ: 0
    • مشاهده ها: 1,360
    دوشنبه 07 تیر 1395, 12:50 عصر به آخرین پست برو
  12. در خواست کد اجرایی این برنامه در vhdl

    ایجاد شده توسط mo-hammad‏ در تاریخ سه شنبه 24 آذر 1394 ، ساعت 16:03 عصر
    • پاسخ: 0
    • مشاهده ها: 1,490
    سه شنبه 24 آذر 1394, 16:03 عصر به آخرین پست برو
  13. ip core

    ایجاد شده توسط rezak3021‏ در تاریخ سه شنبه 29 اردیبهشت 1394 ، ساعت 11:25 صبح
    • پاسخ: 0
    • مشاهده ها: 2,085
    سه شنبه 29 اردیبهشت 1394, 11:25 صبح به آخرین پست برو
  14. licence for modelsim

    ایجاد شده توسط zahra_a‏ در تاریخ یک شنبه 20 اردیبهشت 1394 ، ساعت 18:01 عصر
    • پاسخ: 1
    • مشاهده ها: 2,697
    دوشنبه 21 اردیبهشت 1394, 19:59 عصر به آخرین پست برو
  15. مقايسه بين تراشه هاي FPGA و CPLD

    ایجاد شده توسط MoslemMaleki‏ در تاریخ شنبه 20 دی 1393 ، ساعت 01:36 صبح
    • پاسخ: 0
    • مشاهده ها: 6,622
    شنبه 20 دی 1393, 01:36 صبح به آخرین پست برو
  16. معرفی FPGA

    ایجاد شده توسط MoslemMaleki‏ در تاریخ شنبه 20 دی 1393 ، ساعت 01:08 صبح
    • پاسخ: 0
    • مشاهده ها: 4,318
    شنبه 20 دی 1393, 01:08 صبح به آخرین پست برو

گزینه های نمایش تایپک

Use this control to limit the display of threads to those newer than the specified time frame.

Allows you to choose the data by which the thread list will be sorted.

مرتب کردن تاپیک ها به صورت...

Note: when sorting by date, 'descending order' will show the newest results first.

راهنمای شکلک ها

نوشته های جدید
نوشته های جدید
نوشته جدیدی نیست
نوشته جدیدی نیست
بیش از 15 پاسخ و 150 بار نمایش
تاپیک پربیننده با نوشته های جدید
بیش از 15 پاسخ و 150 بار نمایش
تاپیک پربیننده بدون نوشته های جدید
تاپیک قفل شد
تاپیک بسته شده
نوشته شما در این تاپیک میباشد
شما در این تاپیک شرکت کرده اید

قوانین ایجاد تاپیک در تالار

  • شما نمی توانید تاپیک جدید ایجاد کنید
  • شما نمی توانید به تاپیک ها پاسخ دهید
  • شما نمی توانید ضمیمه ارسال کنید
  • شما نمی توانید پاسخ هایتان را ویرایش کنید
  •