سلام ممنون میشم اگر کسی بتونه کد اجرایی این برنامه رو در vhdl لطف کنه و بنویسه - یعنی میخوام اجرا بشه

و اینکه به نظرتون بهتره با کدوم نرم افزار اجرا بشه ؟ بنده تسط زیادی ندارم رو این زبان ولی الان نیاز پیدا کردم

ممنون میشم کسی اینو پیاده کنه و توضیح بده

input: clk (1 bit)
outputs: led (4 bits ), reset (1 bit )
Operation : in the first step , the first bit of the led from left side ,should be turn on and after one second , the next led should be turn on too , and this Action should be repet until the end of leds. when it arrived to the end, the last led (the led that is in the right side),should be turn off, and after one second the next led near of it should be turn off and and this action should be repet until the end of leds (until all of the leds become turn off).
when the reset bit become 1 , the system should become reset (it mean - the system should be work from step one even the system is in the another steps !)